研究成果

第 1 到 41 筆結果,共 41 筆。

公開日期標題作者來源出版物WOS全文
12022Selective CO2-to-CO photoreduction over an orthophosphate semiconductor via the direct Z-scheme heterojunction of Ag3PO4 quantum dots decorated on SnS2 nanosheetsFu, Fang-Yu; Fan, Chi-Chan; Qorbani, Mohammad; Huang, Chih-Yang ; Kuo, Ping-Chun; Hwang, Jih-Shang ; Shu, Guo-Jiun; Chang, Sue-Min; Wu, Heng-Liang; Wu, Chih-, I; Chen, Kuei-Hsien; Chen, Li-ChyongSUSTAIN ENERG FUELS1
22022即時反饋的程式設計學習模式--於教學場域實踐適合非資訊科系入門的自動程式碼(c#、VB)批改系統黃智賢 
32018Above 10% efficiency earth-abundant Cu2ZnSn(S,Se)(4) solar cells by introducing alkali metal fluoride nanolayers as electron-selective contactsCheng-Ying Chen ; Aprillia, Bandiyah Sri; Chen, Wei-Chao; Teng, Yen-Ching; Chiu, Chih-Yuan; Chen, Ruei-San; Hwang, Jih-Shang ; Chen, Kuei-Hsien; Chen, Li-ChyongNANO ENERGY16
42018Ni-Nanocluster Modified Black TiO2 with Dual Active Sites for Selective Photocatalytic CO2 ReductionBillo, Tadesse; Fu, Fang-Yu; Raghunath, Putikam; Shown, Indrajit; Chen, Wei-Fu; Lien, Hsiang-Ting; Shen, Tzu-Hsien; Lee, Jyh-Fu; Chan, Ting-Shan; Huang, Kuo-You; Wu, Chih-I; Lin, M. C.; Hwang, Jih-Shang ; Lee, Chih-Hao; Chen, Li-Chyong; Chen, Kuei-HsienSMALL112
52017Enhanced performance of ZnO-based dye-sensitized solar cells using TiO2/graphene nanocomposite compact layerChun-Ying Huang; Po-Hao Chen; Yeun-Jung Wu ; Hai-Pang Chiang ; Jih-Shang Hwang ; Pei-Te Lin; Kuan-Yu Lai; Forest Shih-Sen Chien; Tai-Yuan Lin JPN J APPL PHYS2
62017Co-solvent effect on microwave-assisted Cu2ZnSnS4 nanoparticles synthesis for thin film solar cellChen, Wei-Chao; Tunuguntla, Venkatesh; Chiu, Min-Hsueh; Li, Lian-Jiun; Shown, Indrajit; Lee, Chih-Hao; Hwang, Jih-Shang ; Chen, Li-Chyong; Chen, Kuei-HsienSOL ENERG MAT SOL C8
72016Fabrication of Cu2ZnSnSe4 solar cells through multi-step selenization of layered metallic precursor filmChen, Wei-Chao; Tunuguntla, Venkatesh; Li, Hsien-Wen; Cheng-Ying Chen ; Li, Shao-Sian; Hwang, Jih-Shang ; Lee, Chin-Hao; Chen, Li-Chyong; Chen, Kuei-HsienTHIN SOLID FILMS10
82016Beaded stream-like CoSe2 nanoneedle array for efficient hydrogen evolution electrocatalysisLee, C. P.; Chen, W. F.; Billo, T.; Lin, Y. G.; Fu, F. Y.; Samireddi, S.; Lee, C. H.; Jih-Shang Hwang ; Chen, K. H.; Chen, L. C.Journal of Materials Chemistry A
92016Enhanced thermoelectric performance in a percolated bismuth sulfide compositeWong, D. P.; Chien, W. L.; Huang, C. Y.; Chang, C. E.; Ganguly, A.; Lyu, L. M.; Jih-Shang Hwang ; Chen, L. C.; Chen, K. H.Rsc Advances
102015Edge promoted ultrasensitive electrochemical detection of organic biomolecules on epitaxial graphene nanowallsRoy, P. K.; Ganguly, A.; Yang, W. H.; Wu, C. T.; Jih-Shang Hwang ; Tai, Y.; Chen, K. H.; Chen, L. C.; Chattopadhyay, S.Biosensors & Bioelectronics
112015A nontoxic solvent based sol-gel Cu2ZnSnS4 thin film for high efficiency and scalable low-cost photovoltaic cellsTunuguntla, V.; Chen, W. C.; Shih, P. H.; Shown, I.; Lin, Y. R.; Jih-Shang Hwang ; Lee, C. H.; Chen, L. C.; Chen, K. H.Journal of Materials Chemistry A
122015Enhanced thermoelectric performance of GeTe-rich germanium antimony tellurides through the control of composition and structureSankar, R.; Wong, D. P.; Chi, C. S.; Chien, W. L.; Jih-Shang Hwang ; Chou, F. C.; Chen, L. C.; Chen, K. H.Crystengcomm
132015Making silicon solar cells in a green, low-hazardous, and inexpensive wayJih-Shang Hwang ; Wu, C. Y.; Tai, Y. H.; Tseng, P.; Chattopadhyay, S.; Chiu, Y. C.; Chen, S. W.Rsc Advances
142014Cobalt-Phosphate-Assisted Photoelectrochemical Water Oxidation by Arrays of Molybdenum-Doped Zinc Oxide NanorodsLin, Y. G.; Hsu, Y. K.; Chen, Y. C.; Lee, B. W.; Jih-Shang Hwang ; Chen, L. C.; Chen, K. H.Chemsuschem
152014Local nanotip arrays sculptured by atomic force microscopy to enhance the light-output efficiency of GaN-based light-emitting diode structuresHuang, C. Y.; Yao, Y. C.; Lee, Y. J.; Tai-Yuan Lin ; Kao, W. J.; Yang, Y. J.; Shen, J. L.; Jih-Shang Hwang Nanotechnology
162013Functionalized terfluorene for solution-processed high efficiency blue fluorescence OLED and electrophosphorescent devicesWang, C. F.; Wen-Yi Hung ; Cheng, M. H.; Leung, M. K.; Wong, K. T.; Jih-Shang Hwang Organic Electronics
172013Growth of beta-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generationJih-Shang Hwang ; Liu, T. Y.; Chattopadhyay, S.; Hsu, G. M.; Basilio, A. M.; Chen, H. W.; Hsu, Y. K.; Tu, W. H.; Lin, Y. G.; Chen, K. H.; Li, C. C.; Wang, S. B.; Chen, H. Y.; Chen, L. C.Nanotechnology
182013Imaging layer number and stacking order through formulating Raman fingerprints obtained from hexagonal single crystals of few layer grapheneJih-Shang Hwang ; Yu-Hsiang Lin; Jeong-Yuan Hwang; Railing Chang ; Surojit Chattopadhyay; Chang-Jiang Chen; Peilin Chen; Hai-Pang Chiang ; Tsong-Ru Tsai ; Li-Chyong Chen; Kuei-Hsien ChenNanotechnology41
192011Photocurrent Mapping in High-Efficiency Radial p-n Junction Silicon Nanowire Solar Cells Using Atomic Force MicroscopyKao, M. C.; Shiu, J. M.; Fan, C. N.; Ye, S. C.; Yu, W. S.; Tai-Yuan Lin ; Chattopadhyay, S.; Chen, L. C.; Chen, K. H.; Jih-Shang Hwang ; Hsiu-Mei Lin Journal of Physical Chemistry C12
202011Au nanoparticle modified GaN photoelectrode for photoelectrochemical hydrogen generationTu, W. H.; Hsu, Y. K.; Yen, C. H.; Wu, C. I.; Jih-Shang Hwang ; Chen, L. C.; Chen, K. H.Electrochemistry Communications
212011Deep and Alignment Free Patterned Etching of GaN Surface Using an Atomic Force MicroscopeChen, D. C.; Chen, L. W.; Hu, Z. S.; You, Z. Y.; Wu, C. C.; Tai-Yuan Lin ; Chattopadhyay, S.; Jih-Shang Hwang ; Tsong-Ru Tsai Journal of Nanoscience and Nanotechnology
222010In-situ visualization of a super-accelerated synthesis of zinc oxide nanostructures through CO2 laser heatingLiu, X. M.; Zhang, Y. Y.; Chen, D. C.; Wu, C. C.; Tai-Yuan Lin ; Chattopadhyay, S.; Jih-Shang Hwang ; Chia-Yao Lo Journal of Crystal Growth2
232010The preparation of silver nanoparticle decorated silica nanowires on fused quartz as reusable versatile nanostructured surface-enhanced Raman scattering substratesJih-Shang Hwang ; Kuan-Yu Chen; Shih-Jay Hong; Shih-Wei Chen; Wun-Shing Syu; Chi-Wen Kuo; Wei-Yi Syu ; Tai Yuan Lin ; Hai-Pang Chiang ; Surojit Chattopadhyay; Kuei-Hsien Chen ; Li-Chyong ChenNanotechnology22
242010Enhancement of the energy photoconversion efficiency through crystallographic etching of a c-plane GaN thin filmBasilio, A. M.; Hsu, Y. K.; Tu, W. H.; Yen, C. H.; Hsu, G. M.; Chyan, O.; Chyan, Y.; Jih-Shang Hwang ; Chen, Y. T.; Chen, L. C.; Chen, K. H.Journal of Materials Chemistry
252009In-TFT-Array-Process Micro Defect Inspection Using Nonlinear Principal Component AnalysisLiu, Y. H.; Wang, C. K.; Ting, Y.; Lin, W. Z.; Kang, Z. H.; Chen, C. S.; Jih-Shang Hwang International Journal of Molecular Sciences
262009Spectral dependence of time-resolved photoreflectance of InN epitaxial filmsChang, C. Y.; Kuo, C. W.; Tai-Yuan Lin ; Gwo, S.; Jih-Shang Hwang ; Tsong-Ru Tsai Applied Physics Letters3
272009SERS Study of Tetrodotoxin (TTX) by Using Silver Nanoparticle ArraysWen-Chi Lin; Hsiao-Chin Jen; Chang-Long Chen; Deng-Fwu Hwang; Railing Chang ; Jih-Shang Hwang ; Hai-Pang Chiang Plasmonics35
282009Characterization of nonlinear absorption of InN epitaxial films with femtosecond pulsed transmission Z-scan measurementsTsong-Ru Tsai ; Tsung-Han Wu; Jung-Cheng Liao; Tai-Huei Wei ; Hai-Pang Chiang ; Jih-Shang Hwang ; Din-Ping Tsai ; Yang-Fang ChenJournal of Applied Physics7
292009Enhanced Charge Separation by Sieve-Layer Mediation in High-Efficiency Inorganic-Organic Solar CellsLin, C. H.; Chattopadhyay, S.; Hsu, C. W.; Wu, M. H.; Chen, W. C.; Wu, C. T.; Tseng, S. C.; Jih-Shang Hwang ; Lee, J. H.; Chen, C. W.; Chen, C. H.; Chen, L. C.; Chen, K. H.Advanced Materials
302009Direct patterning of zinc oxide with control of reflected color through nano-oxidation using an atomic force microscopeChen, L. W.; Chen, T. C.; Kuo, C. W.; Hu, Z. S.; Wu, Y. J.; Tai-Yuan Lin ; Jhuo, Y. Y.; Lin, C. M.; Liu, Y. H.; Jih-Shang Hwang ; Tsong-Ru Tsai ; Chih-Yung Cheng Nanotechnology5
312008Suppressing series resistance in organic solar cells by oxygen plasma treatmentLin, C. H.; Tseng, S. C.; Liu, Y. K.; Tai, Y.; Chattopadhyay, S.; Lin, C. F.; Lee, J. H.; Jih-Shang Hwang ; Hsu, Y. Y.; Chen, L. C.; Chen, W. C.; Chen, K. H.Applied Physics Letters
322007Growth and properties of single-phase gamma-In2Se3 thin films on (111) Si substrate by AP-MOCVD using H2Se precursorD.Y. Lyu; T.Y. Lin ; J.H. Lin; S.C. Tseng ; J.S. Hwang ; H.P. Chiang ; C.C. Chiang ; S.M. Lan Solar Energy Materials and Solar Cells18
332007Infrared lasing in InN nanobeltsHu, M. S.; Hsu, G. M.; Chen, K. H.; Yu, C. J.; Hsu, H. C.; Chen, L. C.; Jih-Shang Hwang ; Hong, L. S.; Chen, Y. F.Applied Physics Letters
342006Photo-assisted local oxidation of GaN using an atomic force microscopeHu, Z. S.; Lu, T. Y.; Chen, L. W.; Chen, S. W.; Tai-Yuan Lin ; Hsiao, C. L.; Chen, K. H.; Chen, L. C.; Jih-Shang Hwang Nanotechnology16
352006Local oxidation of InN and GaN using an atomic force microscopeHu, Z. S.; You, Z. Y.; Tai-Yuan Lin ; Hsiao, C. L.; Tu, L. W.; Jih-Shang Hwang Nanotechnology11
362005Reduced temperature-quenching of photoluminescence from indium nitride nanotips grown by metalorganic chemical vapor depositionShi, S. C.; Chen, C. F.; Hsu, G. M.; Jih-Shang Hwang ; Chattopadhyay, S.; Lan, Z. H.; Chen, K. H.; Chen, L. C.Applied Physics Letters
372005Effect of gold coating on local oxidation using an atomic force microscopeJih-Shang Hwang ; You, Z. Y.; Lin, S. Y.; Hu, Z. S.; Wu, C. T.; Chen, C. W.; Chen, K. H.Applied Physics Letters
382004Generally applicable self-masked dry etching technique for nanotip array fabricationHsu, C. H.; Lo, H. C.; Chen, C. F.; Wu, C. T.; Jih-Shang Hwang ; Das, D.; Tsai, J.; Chen, L. C.; Chen, K. H.Nano Letters
391998Oxide-GaAs interfacial electronic properties characterized by modulation spectroscopy of photoreflectanceJih-Shang Hwang ; Wang, Y. C.; Chou, W. Y.; Tyan, S. L.; Hong, M.; Mannaerts, J. P.; Kwo, J.Journal of Applied Physics
401997Electric fields separation by phase selection in modulation spectroscopy of photoreflectanceWang, Y. C.; Chou, W. Y.; Hwang, W. C.; Jih-Shang Hwang Solid State Communications
411997Built-in electric field and surface Fermi level in InP surface-intrinsic n(+) structures by modulation spectroscopyJih-Shang Hwang ; Chou, W. Y.; Hung, M. C.; Wang, J. S.; Lin, H. H.Journal of Applied Physics